neurodynex.leaky_integrate_and_fire package

Submodules

neurodynex.leaky_integrate_and_fire.LIF module

This file implements a leaky intergrate-and-fire (LIF) model. You can inject a step current or sinusoidal current into neuron using LIF_Step() or LIF_Sinus() methods respectively.

Relevant book chapters:

neurodynex.leaky_integrate_and_fire.LIF.get_random_param_set(random_seed=None)[source]

creates a set of random parameters. All values are constrained to their typical range :returns: a list of (obfuscated) parameters. Use this vector when calling simulate_random_neuron() :rtype: list

neurodynex.leaky_integrate_and_fire.LIF.getting_started()[source]

An example to quickly get started with the LIF module. Returns:

neurodynex.leaky_integrate_and_fire.LIF.print_default_parameters()[source]

Prints the default values Returns:

neurodynex.leaky_integrate_and_fire.LIF.print_obfuscated_parameters(obfuscated_params)[source]

Print the de-obfuscated values to the console

Parameters:obfuscated_params

Returns:

neurodynex.leaky_integrate_and_fire.LIF.simulate_LIF_neuron(input_current, simulation_time=5. * msecond, v_rest=-70. * mvolt, v_reset=-65. * mvolt, firing_threshold=-50. * mvolt, membrane_resistance=10. * Mohm, membrane_time_scale=8. * msecond, abs_refractory_period=2. * msecond)[source]

Basic leaky integrate and fire neuron implementation.

Parameters:
  • input_current (TimedArray) – TimedArray of current amplitudes. One column per current_injection_location.
  • simulation_time (Quantity) – Time for which the dynamics are simulated: 5ms
  • v_rest (Quantity) – Resting potential: -70mV
  • v_reset (Quantity) – Reset voltage after spike - 65mV
  • firing_threshold (Quantity) –
  • membrane_resistance (Quantity) – 10Mohm
  • membrane_time_scale (Quantity) – 8ms
  • abs_refractory_period (Quantity) – 2ms
Returns:

Brian2 StateMonitor for the membrane voltage “v” SpikeMonitor: Biran2 SpikeMonitor

Return type:

StateMonitor

neurodynex.leaky_integrate_and_fire.LIF.simulate_random_neuron(input_current, obfuscated_param_set)[source]

Simulates a LIF neuron with unknown parameters (obfuscated_param_set) :param input_current: The current to probe the neuron :type input_current: TimedArray :param obfuscated_param_set: obfuscated parameters :type obfuscated_param_set: list

Returns:Brian2 StateMonitor for the membrane voltage “v” SpikeMonitor: Biran2 SpikeMonitor
Return type:StateMonitor

Module contents